Laser 2000

Moku Cloud Compile

Moku-Cloud-Compile

Develop and deploy custom digital signal processors and integrate them with the inbuilt suite of Moku instruments.

Compatible with Moku:Pro and Moku:Go running in Multi-instrument Mode.

Liquid Instruments

Moku Cloud Compile – Develop and Deploy custom DSP in minutes

  • Design, deploy, and test, all on one device
  • Compatible with industry-standard HDL
  • Greater flexibility in test than ever before

Deploy custom DSP (Digital Signal Processing) directly onto your Moku:Go or Moku:Pro’s FPGA with Moku Cloud Compile.

It is made to be accessible for everyone, from students to experts. You can code in VHDL or Verilog or use specialised user-friendly tools such as HDL Coder in MATLAB and Simulink.

 

How does Moku Cloud Compile work?
  • Write code using a standard browser or through user-friendly tools
  • Compile it to the cloud
  • Liquid Instruments deploy a bitstream to target one or more of your Moku products

It is available in Multi-instrument Mode allowing you to use your coded solutions with the inbuilt instruments from the Moku suite. There is also a dedicated library of resources to help you get started!

 

Which hardware platforms are compatible?
  • This technology is available on Moku:Pro and Moku:Go running in Multi-instrument Mode

 

Example uses of Moku Cloud Compile

Gaussian Noise Generator
  • When testing a system’s response, noise is required to get true data. Using Moku Cloud Compile, a custom noise generator can be coded and implemented into your custom signal processes to ensure your results are accurate

 

Event Counter
  • A windowed event counter with programmable thresholds has applications in communications optics such as single photon detectors

 

Boxcar Averager
  • Lock-in Amplifiers and Boxcar Averagers are commonly used to extract weak, repetitive signals that are buried in noise
  • For signals with low duty cycles, a significant amount of signal power is filtered out at the higher harmonics. A Boxcar Averager is designed to gate the input signal in the time domain to improve the signal to noise ratio and creates a matched filter for the repetitive input signal

 

Moku Cloud Compile Datasheet
Moku Cloud Compile Tutorial
BACK
TO TOP

Request a Demo

Moku-Cloud-Compile

Ask the Expert

If you’re interested in the products shown here, or have questions about something you can’t find on our website, please get in touch through the form below.

Our knowledgeable sales team will be happy to help. We can normally source exactly what you need, or work with you to come up with custom solutions.

Alternatively, just call +44 (0) 1933 461 666 and ask to speak to the Photonics team.